LABORATÓRIO DE SIMULAÇÃO VHDL PARA O PROCESSADOR CLEO

  1. Simular o programa fibo.asm em um dos simuladores da Cleópatra
    Simulador:   Cleosoft, versão 2.53   

    Verificar se o programa gera corretamente os n primeiros números da série

  2. Gerar um arquivo texto contendo o código objeto do fibo.asm utilizando um dos simuladores acima.
    Nomear o arquivo como fibo.txt
    Arquivo: fibo.txt

  3. Criar um projeto no Active-HDL com os arquivos cleo_full.vhd,   cleo_tb.vhd e o fibo.txt

  4. Simular 1 ns e observar no Memory Viewer se o código objeto foi corretamente carregado.
    Altere o nome do arquivo na linha 38 do test bench

  5. No Waveform Viewer exibir os sinais: clock, EA, ir_int, address, mdr, pc, ac, rs, ce, rw

  6. Simular 600 ns e obervar o registrador ir_int: 40H, 28H, C4H, 44H, 50H.
  7. Simular mais 600 ns. Observar que entre 690ns e 1030ns foi executada uma instrução STA.
  8. Quando a simulação chega no HALT, em ns?
  9. Modifique o código VHDL de forma que o processador CLEO passa executar uma nova instrução, por exemplo um xor. Mostre uma simulação com esta nova instrução.

FIM DO LABORATÓRIO DE SIMULAÇÃO VHDL