Atualizada em 26 de março de 2021


MATERIAL DE APOIO PARA DISCIPLINAS DE HARDWARE
CC/EC


NOVIDADES

Programas das Disciplinas

Organização de Computadores / Organização e Arquitetura de Computadores I

MATERIAL SOBRE O PROCESSADOR MIPS R2000 Arquivo
Apêndice A da Bibliografia 1 - documentação do processador MIPS

Apêndice A.pdf (Versão em português, com Errata - V5)

Link para página com descrição dos formatos de instrução do Processador MIPS 4 formatos básicos de instruções do MIPS.
Tutorial para programação em Linguagem de Montagem do MIPS https://minnie.tuhs.org/CompArch/Resources/mips_quick_tutorial.html
Ambiente MARS (Missouri State University e Otterbein College) Ambiente MARS (em Java) Versão 4.5
Tutorial
 Programas em Linguagem de Montagem do MIPS
Meu primeiro programa em linguagem de montagem do MIPS meu_primeiro_programa_MIPS.asm
meu_segundo_programa_MIPS.asm
Um programa que mostra como fazer entrada e saída no simulador MARS, usando a instrução syscall. Fibonacci.asm
Exemplos adicionais de programas para a Arquitetura MIPS R2000 Sub-rotinas: MIPS_multi_soma_subr_aninhadas.asm
Cálculo dos elementos comuns de dois vetores:
elementos_comuns_vets.asm 
Cálculo da multiplicação de dois números de 32 bits (resultado em 32 bits):
multiplica_res_32.asm 
Um programa que chama uma rotina recursiva para calcular fatorial em linguagem de montagem do MIPS fatorial.asm
Transparências de Aula de Substituição pelo Prof. Moraes em 09/08/2013

Arqs-Instr-Opers.pptx (Versão em português)

 Implementações Parciais da Arquitetura MIPS e Material Auxiliar (apresentações, programas, etc.)
Descrição completa da arquitetura MIPS_V0 em VHDL. Trata-se de organização que obedece a um subconjunto da arquitetura MIPS R2000, implementada como um processador monociclo, ou seja, onde cada instrução é executada em exatamente 1 ciclo de relógio. Tem suporte para apenas a 9 instruções (ADDU, SUBU, AND, OR, NOR, XOR, ORI, LW e SW) LEIAME.txt
MIPS_V0_files.zip (arquivos para projeto MIPS_V0)
MIPS_V0_plusLUI_files.zip (arquivos para projeto MIPS_V0_plusLUI)

MIPS_mono_diagrama_de_blocos.pdf (Diagrama de blocos da organização)

Especificação da arquitetura MIPS multiciclo (MIPS_MCS), um subconjunto do MIPS R2000 - com Hw de multiplicação e divisão MIPS_MCS_arq.pdf
Arquivos fonte com a descrição completa da arquitetura MIPS multiciclo (MIPS_MCS) em VHDL e Diagrama de Blocos MIPS_MCS.zip

MIPS_MCS_diagrama_de_blocos.pdf (Diagrama de blocos da organização)
Apresentação sobre a organização MIPS multiciclo (MIPS_MCS), alguns dados/desenhos estão desatualizados. MIPS_MCS_apresentacao.pdf
Código VHDL principal da MIPS multiciclo (MIPS_MCS) MIPS_MCS.vhd
Código VHDL dos módulos de multiplicação e divisão da MIPS multiciclo (MIPS_MCS) MIPS_mult-div.vhd
Slides com detalhes sobre a implementação de hardware aritmético aritcomp.ppt
Slides com detalhes sobre a implementação de hardware para multiplicação e divisão inteiras mult_div.ppt
Testbench completo para a MIPS multiciclo (MIPS_MCS) MIPS_MCS_tb.vhd
Exemplo básico - Programa que executa todas as instruções da MIPS multiciclo (MIPS_MCS) em linguagem de montagem. Test_Program_Allinst_MIPS_MCS.asm
Exemplo de código objeto preparado para ser lido pelo testbench da MIPS multiciclo (MIPS_MCS). MIPS_MCS_all_inst.txt

MATERIAL AUXILIAR PARA VHDL Arquivo
Livro básico sobre a linguagem VHDL The VHDL Cookbook - P. Ashenden - 1990
Cartão de Referência Rápida sobre VHDL - Ajuda a escrever código Qualis VHDL Quick Reference Card - 1995
Cartão de Referência Rápida sobre o Package 1164 de VHDL - Ajuda a escrever código Qualis 1164 Packages Quick Reference Card - 1995
Livro de Mão sobre a linguagem VHDL Hardi Electronics VHDL Handbook - 1997
Exemplos de descrições VHDL exemplos_vhd.zip
exemplos  esperan   
Exemplos de soluções de problemas em VHDL Exercícios 34 e 35 da lista
Exemplos simples de projeto VHDL (projetos para uso no simulador Active-HDL, incluindo uma porta AND, um circuito combinacional genérico e um flip-flop D) tsts_org.zip
Exemplo de implementação do módulo transmissor serial similar ao padrão RS-232 serial.zip
Implementação de um módulo de transmissão serial similar a interface RS232 em VHDL
- Implementação com uma FSM, um registrador e um contador, visto em aula com a T128
- Projeto completo disponível com forma de onda ilustrando a transmissão de dois bytes
Serial.zip
Simulador Active-HDL (versão demo) Active 3.5
Tutorial Evita de VHDL (versão 2.0 completa) evita2.zip
Enunciados de exercício de implementação VHDL Enunciado e solução
ex_divisao_serial.doc
divisao_serial.vhd
div_serial_TB.vhd
Enunciados de exercício de implementação Em linguagem de Programação Cleópatra Prog_Cleopatra_2005.doc

MATERIAL BÁSICO Arquivo
Tabela com a codificação de caracteres ASCII-E (ASCII Estendido) para a Língua Portuguesa Tabela ASCII-E - Português
Tabela com a codificação de Caracteres ASCII-E (simples de usar) Tabela ASCII-E

Página com material sobre diversos processadores de 8, 16 e 32 bits

Lista de Exercícios com o conteúdo das disciplinas (OC/OACI) Lista de Exercícios
Lista de Exercícios adicional para a primeira prova (OC) Lista Adicional

Exemplos de Provas de Organização de Computadores - EC, com gabarito

Prova P2 2015/2
Prova P2 2014/1
Prova P2 2013/2

Prova G2 2013/1
Prova PS 2013/1
Prova P2 2013/1
Prova P1 2013/1

Prova G2 2012/2
Prova PS 2012/2
Prova P2 2012/2
Prova P1 2012/2

Prova P2 2012/1
Prova P1 2012/1

Exemplos de Provas de Organização e Arquitetura de Computadores I - CC, com gabarito

Prova P1 2006/1
Prova P2 2006/1
Prova P4 2006/1

Exemplos de Provas de Organização e Arquitetura de Computadores II - CC, com gabarito

Prova P1 2009/2

Prova P1 2009/1
Prova P2 2009/1
Prova P2 2008/2
Prova P4 2009/1

Sua CPU é Little ou Big Endian? fonte C
Capítulo 1 do livro Projeto Lógico Automatizado de Sistemas Digitais Seqüenciais Projeto Automatizado SDs
Transparências adaptadas do Capítulo 1 do livro Projeto Lógico Automatizado de Sistemas Digitais Seqüenciais (Introdução ao Processo de Projeto de Sistemas Digitais)  (OC/OACI) Introd_Org_Comp.pdf (4 lâminas pp)
Texto sobre métodos de projeto de sistemas digitais metodos.pdf
Transparências para VHDL vhdl_2006_julho_6pp.pdf (6 lâminas pp)
Questão interessante sobre codificação de instruções em processadores Questao_interessante.txt
Resposta_a_questao_interessante.txt

MATERIAL SOBRE O PROCESSADOR CLEÓPATRA Arquivo
Especificação simplificada da arquitetura Cleópatra  cleo_resumo.pdf V3.0
Especificação completa da arquitetura Cleópatra o_cleo.pdf  V3.0
Implementação do Bloco de Controle Cleópatra usando uma máquina de estados finita (FSM) - versão completa cleo_pc.kiss2
MICROROM Cleópatra - microprograma completo que implementa todos os ciclos de busca, decodificação e execução de todas as instruções Versão 2.02. microrom.html
Ambiente de desenvolvimento Cleópatra 2.53 (simulador do conjunto de instruções). Resolvidos bugs de tela preta no editor e saltos relativos para trás. cleosoft_V2.53.zip

cleosoft_V2.52.zip
Se a instalação da V2.53 não funcionar, instalar a V2.52 e depois substituir todos os arquivos da instalação, no diretório escolhido, pelo conteúdo do seguinte arquivo zip:
CleoEmu_253_src.zip
Se ainda assim não funcionar, leiam as dicas abaixo do autor do software para resolver o problema do Windows, que gera o problema, bem como links para sua solução:
Dicas_DLiedke_cleosoft_V2.53.txt
Novo ambiente de desenvolvimento CleoSim V1.2.0 (simulador do conjunto de instruções e microssimulador) CleoSim-Reiner-V120.zip
Implementação Cleópatra completa, versão 3.1 com testbench. cleo_V3.1 Projeto completo p/ Active-HDL
Testbenches de módulos individuais do Bloco de Dados Cleópatra read_decoder_tb.vhd
reg_tb.vhd
alu_tb.vhd
dp_tb.vhd
Exercícios de programação em linguagem de Cleópatra para listas encadeadas
COM SOLUÇÃO!!!
exerc_asm_cleo_listas_enc.pdf
Tabela de apoio a exercícios de microssimulação do processador Microssim_exercicio.doc
Implementação do bloco de controle sob a forma de uma máquina de estados Fsm_BC_cleo.pdf
Exemplo de script de simulação para a organização Cleópatra com programa fixo. (Foundation) test_prog_cleo.script.pdf
Resultado da simulação completa do programa definido no script acima. (Foundation) test_prog_cleo_waveform.pdf
Exercícios sobre Bloco de Dados Cleópatra exercicios_bd.doc
Resumo da Implementação do Bloco de Dados Cleópatra (com exercícios) BD_vhdl_exercicios.pdf

 

OUTROS MATERIAIS Arquivo
Descrição completa da arquitetura MR3 em VHDL. (Projeto Active-HDL), um outro projeto que se caracteriza como um subconjunto do processador MIPS R2000 MR3.zip
Apresentação Powerpoint com animação para mostrar funcionamento de um Bloco de Dados básico do MIPS R2000 (realizado para a MR3, mas serve para outras MRs e para o MIPS R2000 como um todo) MR3_bd_bc_behavior.ppt
Espresso, para minimização simultânea de múltiplas funções Booleanas espresso.zip
Ambiente SPIM spimwin.exe - versão para Windows
spimwin.pdf - documentação introdutória
spim_documentation.pdf - documentação mais completa
spim_tutorial.pdf - tutorial introdutório (U. Nebraska)
spim.tar.gz - versão para Unix

Laboratório de Organização de Computadores

MATERIAL BÁSICO Arquivo

Texto de Apoio para Realização dos Laboratórios

Laborg.pdf V1.8 2006/I

Manuais das placas Digilent NexysA7 - plataforma de prototipação de hardware

Materiais diversos sobre a NexysA7

Manuais das placas Digilent Nexys2 - plataforma de prototipação de hardware

Materiais diversos sobre a Nexys2

Manuais das placas Digilent Nexys - plataforma de prototipação de hardware

Manuais Nexys

Manuais das placas Digilent Spartan3 Starter Kit Board - plataforma de prototipação de hardware

Manuais SP3 Starter Board

Manuais das placas Digilent D2SB e DIO4 usadas como plataforma de prototipação de hardware

Placa Principal - D2SB
Placa de E/S - DIO4